CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm fpga

搜索资源列表

  1. pwm_out1.0

    0下载:
  2. DE2 FPGA的开发例程,PWM波的产生,适合于初学者。-DE2 FPGA development routines, PWM wave generation, suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:412725
    • 提供者:zh
  1. PWM

    0下载:
  2. 基于FPGA的PWM的一小段代码!用VERILOG 写的,主要是控制一盏led灯的亮度问题-Based on FPGA PWM of small pieces of code! VERILOG with written, main is to control a lamp that led lamp brightness problem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3032550
    • 提供者:zhangyuguang
  1. pwm

    0下载:
  2. 可以利用这个程序 fpga 产生 pwm 波形-Fpga generate pwm waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:646
    • 提供者:姚鹏
  1. PWM-waves-generated-module-VHDL-code

    0下载:
  2. 此为基于FPGA的直流伺服系统的设计,具体为PWM波生成模块的VHDL代码-This is the dc servo system based on FPGA design, specific for PWM waves generated module VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:9084
    • 提供者:黄平
  1. PWM

    1下载:
  2. 基于FPGA的占空比可调以及频率可调的PWM波发生器的设计实现-FPGA-adjustable duty cycle and frequency adjustable PWM waveform generator-based design implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:331679
    • 提供者:钟维
  1. PWM

    0下载:
  2. 基于FPGA EPM1270芯片板子的PWM程序,已通过测试,正常使用,引脚已配好-PWM program based on FPGA EPM1270 chip board, has been tested, and normal use, the pin with a good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:151945
    • 提供者:周涛
  1. PWM

    0下载:
  2. verilogHDL语言编写,简单的FPGA脉冲程序,初学者必备。-verilogHDL language, a simple FPGA pulse program, beginners must.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:3140
    • 提供者:
  1. test-pwm

    0下载:
  2. FPGA 生成PMW波及其测试程序 使用modelsim se版本10.0测试可用-The FPGA generates the PMW wave and its test program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:3230203
    • 提供者:曹晶晶
  1. PWM-design-Based-on-FPGA

    0下载:
  2. 本设计是基于FPGA控制的PWM信号输出系统,以EP3C5E144C8芯片为核心,通过参考信号和输入信号在计数器中的比较来实现占空比、频率可调的脉冲宽度调制信号-The design is FPGA-based control of the PWM signal output system, to EP3C5E144C8 chip as the core, to achieve adjustable duty cycle, frequency, pulse width modulation si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:6710438
    • 提供者:席晓明
  1. PWM-LAB-1

    0下载:
  2. PWM design using fpga
  3. 所属分类:matlab

    • 发布日期:2017-11-17
    • 文件大小:852323
    • 提供者:zaihah
  1. pwm

    0下载:
  2. 使用Altera公司的FPGA的软化,利用NIOS完成PWM功能-Using Altera' s FPGA softening, use NIOS complete PWM function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2419
    • 提供者:马福博
  1. PWM

    2下载:
  2. FPGA产生PWM波控制小车,Verilog语言编写,实现简单的正、反、停控制-FPGA generate PWM wave to control the car, Verilog language, to achieve a simple positive and negative, stop control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:272532
    • 提供者:姜敏敏
  1. FPGA-based-PWM-generator

    0下载:
  2. 基于FPGA的PWM发生器,将所需的正弦波和三角波转化为数据文件,存入存储器中,用计数器逐一读取产生波形-FPGA-based PWM generator, the desired sine wave and triangular wave into a data file into memory, and one by one to read the counter generates a waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1966529
    • 提供者:
  1. FPGA-based-PWM-generator--Design

    0下载:
  2. 基于FPGA的PWM发生器所对应的论文,详细阐述整个设计的思路和过程-FPGA-based PWM generator corresponding to the paper, the whole elaborate design ideas and processes
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:245634
    • 提供者:
  1. PWM

    0下载:
  2. 基于FPGA的硬件语言编写的led小灯控制PWM程序,能够实现不同的颜色-FPGA-based hardware language led a small lamp PWM control procedures to achieve different colors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1038825
    • 提供者:lala
  1. PWM

    0下载:
  2. 基于FPGA的PWM的演示实验和VHDL的演示实验代码-FPGA-based VHDL PWM demonstration experiments and demonstration experiment code
  3. 所属分类:Driver develop

    • 发布日期:2017-04-16
    • 文件大小:392073
    • 提供者:寓言
  1. pwm-2.vhd

    0下载:
  2. pam waves generator for fpga
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1814
    • 提供者:mutasim
  1. FPGA-PWM-Quartus

    0下载:
  2. 一种基于FPGA产生PWM波的Quartus程序。 包含15分频器、地址译码器、带死区的PWM发生器、计数实现的三角波发生器。-An FPGA-based PWM wave generated Quartus program. Contains 15 dividers, address decoders, PWM generator with dead counted achieve triangular wave generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1049621
    • 提供者:叶东林
  1. FPGA-I_LOOP

    0下载:
  2. 本程序是三角波产生程序,很实用,是进行PWM拨软件实现的关键软件之一-This procedure is a triangular wave generated procedures, it is practical, is one of the key software PWM to dial the software implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:220430
    • 提供者:pjw
  1. PWM

    0下载:
  2. 此程序利用FPGA芯片的内部时钟,根据输入信号,产生占空比可调的方波信号。-This program uses the FPGA chip s internal clock, according to the input signal to generate variable duty cycle square wave signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:573
    • 提供者:lmy
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com